Матросова Анжела Юрьевна

matrosova1.jpg
Матросова Анжела Юрьевна (Matrosova, A. Yu.)
Должность: заведующая кафедрой программирования
Учёная степень: доктор технических наук
Учёное звание: профессор
Матросова Анжела Юрьевна поступила в Томский государственный университет на радиофизический факультет в 1958 году. В 1963 году окончила этот факультет с отличием, и в 1964 году была принята в лабораторию счётно-решающих устройств Томского государственного университета в качестве научного сотрудника в научную группу Аркадия Дмитриевича Закревского, ставшего впоследствии член-корреспондентом Академии наук Белоруссии. С тех пор вся её трудовая деятельность связана с университетом. В 1973 году она защитила кандидатскую диссертацию на заседании Учёного совета по кибернетике и приборостроению Отделения физико-технических наук АН БССР на тему «Методы решения булевых уравнений и их применение в диагностике неисправностей дискретных автоматов» по специальности 05.13.01 «Техническая кибернетика и теория информации». Диссертация была выполнена на кафедре математической логики и программирования Томского государственного университета под руководством А.Д. Закревского, переехавшего к тому времени в г. Минск и работавшего в институте Технической кибернетики АН БССР. После защиты диссертации Матросова Анжела Юрьевна работала старшим научным сотрудником в лаборатории Сибирского физико-технического института при Томском государственном университете, а в 1974 году перешла на кафедру математической логики и программирования факультета прикладной математики и кибернетики, где сначала работала старшим преподавателем, потом доцентом, а с 1976 года — заведующей этой кафедрой. На факультете прикладной математики и кибернетики Матросова А.Ю. работает до сих пор. В 1990 году она защитила докторскую диссертацию на заседании Ученого совета Томского государственного университета на тему «Алгоритмические методы контроля и диагностики дискретных устройств управления и проблемы контролепригодного проектирования» по специальности 05.13.01 «Управление в технических системах». В 1993 году Матросова А.Ю получила звание профессора по кафедре программирования, а затем стала заведующей этой кафедрой.
Учебно-методическая работа
Основными лекционными курсами являются курсы дискретной математики для студентов разных специальностей: прикладная математика, физика, экономика; а также дополнительные главы дискретной математики и диагностика дискретных устройств для студентов специальности прикладная математика. Под ее руководством защищены 8 кандидатских диссертаций, причем, Андреева В.А., Голубева О.И., Николаева Е.А., Останин С.А., успешно защитившие кандидатские диссертации, работают в качестве доцентов на кафедре программирования. Матросова А.Ю. постоянно руководит дипломными, курсовыми и магистерскими работами, является автором электронного учебника по дискретной математике и методических разработок по этому предмету.
Научно-исследовательская работа
На протяжении всех лет работы в Томском государственном университете Матросова А.Ю. ведет активную научную работу. Главным направлением ее исследований является тестирование и контролепригодное проектирование логических схем. Ею получены оригинальные научные результаты в области синтеза тестов для одиночных и кратных константных неисправностей, вероятностного тестирования, троичного моделирования, синтеза самопроверяемых и самовосстанавливаемых логических схем, а также в области контролепригодного проектирования логических сем в классе кратных константных неисправностей, неисправностей задержек путей и др. Под ее руководством защищены 8 кандидатских диссертаций. В советский период она постоянно участвовала в выполнении хоздоговорных работ для оборонных предприятий Советского Союза. Матросова Анжела Юрьевна была участником почти всех Всесоюзных конференций по технической диагностике и школ-семинаров по проблемам технической диагностики. В последующие годы она выступала c докладами на международных конференциях в Болгарии, США, Италии, Франции, Норвегии, Белоруссии, Украине, Германии, Сербии, Испании. Ею выполнены совместно с зарубежными учеными Израиля исследования в области синтеза самопроверяемых и самовосстанавливаемых схем, совместно с учеными Индии исследования в области синтеза контролепригодных схем, в которых задержки каждого пути обнаружимы и существуют качественные псевдо исчерпывающие тесты для кратных неисправностей. С целью обсуждения возможностей совместного сотрудничества Матросова Анжела Юрьевна приглашалась в рамках зарубежных грантов в Национальный политехнический институт г. Гренобля, Франция (1995г.) и в Потсдамский университет (Германия, 1996). В настоящее время Матросова А.Ю. является научным руководителем гранта РНФ , научный проект №14-19-00218, в рамках которого проводятся исследования в области синтеза частично программируемых схем, тестирования схем с памятью, борьбы с вредоносными подсхемами и др.
Организационная работа
В настоящее время Матросова А.Ю. является членом программного комитета международной конференции EAST-WEST Design & Test, рецензентом статей международных конференций высокого уровня:VLSI conference и Asian Test Conference, членом редколлегии журнала «Вестник ТГУ, Управление, вычислительная техника и информатика». Она является членом трех диссертационных советов по присуждению степеней кандидата и доктора наук, двух – в Томском Государственном университете и одного в Сибирском государственном университете телекоммуникаций и информатики г. Новосибирска. Матросова А.Ю. действительный член Международной академии информатизации, академик Академии наук прикладной радиоэлектроники Беларуси, России, Украины.
Премии, награды, дипломы
  1. Медаль ветерана труда(1985г.)
  2. Медаль за заслуги перед Томским государственным университетом (1998 г.)
  3. Почетный работник высшего профессионального образования РФ (2003 г.)
  4. Юбилейная медаль «400 лет городу Томску» (2004 г.)
  5. Медаль «Д.И. Менделеев» Томского государственного университета (2013 г.)
Основные публикации
Монографии
  1. А.Ю. Матросова. Алгоритмические методы синтеза тестов // Изд. ТГУ, 1990, 206 с.
Научные статьи
  1. А.Ю. Матросова. О построении множества недопустимых состояний асинхронного автомата // Труды СФТИ, вып. 62.стр. 11-19.
  2. А.Ю. Матросова. Построение проверяющих тестов для каскадных схем // Сб. Техническая диагностика. Труды I Всесоюзного совещания по технической диагностике. Наука. М.1972, стр.181-184.
  3. Н.Г. Дронова, А.Ю. Матросова. Проверка булевой функции, заданной в скобочной форме, на тождественность единице // Материалы II научной конференции по математике и механике. Т.I, изд. ТГУ, 1972, стр.40-42.
  4. Н.Г.Дронова, А.Ю. Матросова. Решение булевых уравнений специального вида // Сб. Информационные методы в системах управления, измерений и контроля (доклады II Всесоюзного семинара, сентябрь 1972, Владивосток) т.I, стр. 36-41.
  5. С. А. Карепов, В.Б. Липский, А.Ю. Матросова, Л.Ф. Сергеева. Применение методов решения булевых уравнений в диагностике неисправностей комбинационных сетей // Дискретные системы. Международный симпозиум. Рига, СССР, Изд. Зинатне, 1974. Стр. 151-159.
  6. А.Ю. Матросова. Решение логических уравнений, заданных в скобочной форме // Математический сборник. Вып. 2, изд. ТГУ, 1975, стр.95-119.
  7. А.Ю. Матросова. Об объеме вычислений при решении булевых уравнений // Математический сборник. Вып. 2, изд. ТГУ, 1975, стр. 120-128.
  8. А.Ю. Матросова. Метод обнаружения неисправности в синхронном устройстве // Автоматика и телемеханика. 1977. №12, стр.129-137.
  9. А.Ю. Матросова. Построение полного теста для схем, синтезированных методом факторизации // Автоматика и вычислительная техника, №5, стр. 42-45.
  10. С.В. Бедренко, А.Ю. Матросова. О вероятностном подходе к проверке последовательностных устройств // Автоматика и телемеханика. 1980, № 1, стр.97-103.
  11. А.Ю. Матросова, В.Д. Байда, В.В. Сафронов. Синтез легко диагностируемых автоматов // В сб. Методы и системы технической диагностики. Вып.I. Изд. Саратовского университета.1980, стр 17-26.
  12. А.Ю. Матросова. Эквивалентные преобразование системы уравнений непосредственных связей // Автоматика и вычислительная техника. 1981, №5, стр.39-44.
  13. А.Ю. Матросова. О вероятностном подходе к проверке асинхронных последовательностных устройств // В сб. Методы и системы технической диагностики. Вып.I. Изд. Саратовского университета.1980, стр. 70-75.
  14. А.Ю. Матросова, Н.В. Чоп. Построение множества максимальных устойчивых состояний для асинхронного автомата // Автоматика и телемеханика. 1982, №2, стр.67-84.
  15. В.Д. Байда, А.Ю. Матросова. Исследование функциональной модели асинхронного автомата, учитывающей структурные состязания // Автоматика и телемеханика. 1982. №4, стр. 94-103.
  16. В.Д. Байда, А.Ю. Матросова. Построение установочной последовательности в классе частичных одношаговых переходов // Автоматика и телемеханика. 1984. №3, стр. 91-102.
  17. В.Д. Байда, А.Ю. Матросова. Построение и использование одношаговой модели асинхронного автомата // Автоматика и телемеханика. 117-124.
  18. А.Ю. Матросова. Построение тестов для интегральных схем // Автоматика и телемеханика. 1985, №10, стр132-143.
  19. А.Ю. Матросова. Семизначное моделирование асинхронных автоматов // Автоматика и телемеханика.1986, №3, стр.153-164.
  20. A.Yu. Matrosova, N.V. Chop. Constructing the set of maximal stable sets for an asynchronous automation // Automation and Remote Control 43(2 pt.1), 1982, pp.190-196.
  21. A.Yu. Matrosova. Constructing tests for networks of integrated circuits // Automation and Remote Control, 1981, 46 (10 pt.2) pp.1318-1328.
  22. N.V. Yevtushenko, A.Yu. Matrosova. On one approach to automata networks checking sequences construction // Avtomatika i Vychislitel’naya Technika, 1991 (2), pp.3-7.
  23. N.V. Yevtushenko, A.Yu. Matrosova. Design of testable automation networks // Automation and Remote Control, 1991, 52 (3 pt. 2), pp.416-423.
  24. N.V. Yevtushenko, A.Yu. Matrosova. Synthesis of check sequences for automation networks // Automatic Control and Computer Sciences, 1991, 25 (2), pp.1-4.
  25. N.V. Yevtushenko, A.Yu. Matrosova. On the probabilistic approach to the computation of probability and observability estimates for a unit of discrete plant // Avtomatika I Telemechanika, 1993, 11, pp.152-160.
  26. N.V. Yevtushenko, A.Yu. Matrosova, S.A. Tsurikov. Logical approach to calculating the probability estimates of decision making in artificial intelligence systems // Izvestiya Akademii Nauk. Teoriya I Sistemy Upravleniya, 1994(2).
  27. A.Yu. Matrosova. On the probabilistic simulation of discrete plants // Avtomatika I Telemechanika, 1995 (3), pp.156-164.
  28. N.V. Yevtushenko, A.Yu. Matrosova, S. A. Tsurikov, A. Ye.Yankovsskaya. Logical approach to the calculation of the probabilistic estimates of decision making in artificial-intelligence systems // Journal of Computer and Systems Science International, 1995, 33 (4), pp.98-105.
  29. N.G. Kudryavtsev, A.Yu. Matrosova. Ring technology of self-testing for hard-to-detect failures // Automation and Remote Control, 1996, 57(12part2), pp.1815-1821.
  30. A.Ю Матросова, С.А. Останин, Н.А. Паршина. К синтезу контролепригодных комбинационных устройств // Автоматика и телемеханика, 1999, №2, pp.129-137.
  31. A.Yu. Matrosova, S.A. Ostanin, N.A. Parshina. Synthesizing testable combinational circuits // Automation and Remote Control, 1999, 60 (2part 2), pp.250-256.
  32. A.Yu. Matrosova, I. Levin, S.A. Ostanin. Self-checking synchronous FSM network design with low overhead // VLSI design 11(1), 2000, pp. 47-58.
  33. I. Levin, A.Yu. Matrosova, S.A. Ostanin. Survivable self-checking sequential circuits // IEEE International Workshop on Defect and Fault Tolerance in VLSI Systems, USA, pp.395-402.
  34. M.V. Astaf’ev, I.S. Levin, A.Yu. Matrosova, V.E. Sinel’nikov. Self-checking structural automation design in PLM basis // Avtomatika I Telemechanika, 2002 (10), pp.120-136.
  35. M.V. Astaf’ev, I.S. Levin, A.Yu. Matrosova, V.E. Sinel’nikov. Self-testing automation networks: their design in programmable matrices // Automation and Remote Control, 2002, 63 (10) pp.1637-1651.
  36. A.Yu Matrosova, A.G. Pleshkov, R. Ubar. Construction of the tests of combinational circuit failures by analyzing the orthogonal disjunctive normal forms represented by the alternative graphs // Automation and Remote Control, 2005, 66(1), pp.313-327.
  37. Yu.B. Burkatovskaya, N.B. Butorina, A.Yu. Matrosova. Self-testing checker design for arbitrary number of code words of (m,n) code // Proceedings of the 10-th Baltic Electronic Conference, art.no.410314,pp.183-186.
  38. A. Matrosova, E. Loukovnikova, S. Ostanin, A. Zinchuck, E. Nikolaeva. Test generation for single and multiple stuck-at faults of a combinational circuit designed by covering shared ROBDD with CLBs // Proceedings of IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, art. no.4358389, pp.206-214.
  39. A. Matrosova, O. Golubeva, S. Tsurikov. On correction of the results of ternary simulation // Compendium of papers of IEEE European Test Workshop., 1997, Cagliary, 2p.
  40. А.Ю. Матросова, О.И. Голубева. О корректности результатов одного шага троичного моделирования // Докл. 2-й Всероссийской конференции. Новые информационные технологии в исследовании дискретных структур. Екатеринбург, ИМАШ УрО РАН, 1998. стр. 112-116.
  41. A. Matrosova, O. Golubeva, T. Oshlakova. On correction of the results of ternary simulation and preliminary estimation of the correction results // Proceedings of the 6-th Biennal Conference on Electronics and Microsystems Technology, Tallinn, 1998, pp.183-186.
  42. О.И. Голубева, А. Ю. Матросова. Точный метод вероятности обнаружения неисправности, основанный на ОДНФ представлении функции // Материалы 3-ей международной конференции автоматизация проектирования дискретных систем. Минск, ИТК НАН Беларуси, 1999, т.3, стр.64-71.
  43. А.Ю. Матросова, С.А. Останин. К синтезу самопроверяемых комбинационных схем // Международная конференция всесибирские чтения по математике и механике. Томск, Избранные доклады, том I, математика, 1997, стр. 179-185.
  44. А.Ю. Матросова, С.А. Останин. Синтез самопроверяемых синхронных устройств и сетей из них // Материалы конференции новые информационные технологии в исследовании дискретных стуктур. Екатеринбург, 1998, стр. 173-179.
  45. A. Matrosova, O. Goloubeva, K. Nikitin, S. Ostanin. Self-checking FSM design based on BDD synthesis method and FPGA implementation // The forth International conference on computer aided design of discrete devices. Minsk, Republic of Belarus, 2001, pp.23-31.
  46. А.Ю. Матросова, К.В. Никитин. Синтез самопроверяемого детектора равновесных кодов // Вестник Томского государственного университета № 271, 2000, стр.101-105.
  47. А.Ю. Матросова, К.В. Никитин. Синтез самотестируемого детектора (m,n) кодов на программируемых логических блоках // Вестник Томского государственного университета. Приложение №6, 2003, стр.124-136.
  48. A. Matrosova, V. Ostrovsky, I. Levin, K. Nikitin. Designing FPGA based self-testing checker for m-out-of-n codes // 9-th IEEE International On-Line Testing Workshop, Greece, Kos, 2003, pp.49-53.
  49. А.Ю. Матросова, Ю.В Седов. Способ восстановления микросхем памяти с произвольным доступом // Доклады всероссийской конференции новые информационные технологии в исследовании дискретных структур. Екатеринбург. 1996, стр.131-137.
  50. A.Yu. Matrosova, S. A. Ostanin, Yu.V. Sedov. Functional properties of faults on self-checking FSM design with observing only FSM outputs // Proc. of 3-th International conference computer aided technologies in applied discrete mathematics, Tomsk, 2000, pp.209-215.
  51. А.Ю. Матросова, Ю.В. Седов. О свойствах неисправностей, порожденных многоуровневыми методами синтеза, примененными к частично монотонным системам булевых функций // Материалы 4-й всероссийской конференции с международным участием новые информационные технологии в исследовании сложных структур. Томск, 2002, стр. 287-292.
  52. A. Matrosova, V. Andreeva, Yu. Sedov. Survivable discrete circuit design // Proc. of 8-th IEEE International on-line testing workshop. Bendor. France, 2002, pp.6-9.
  53. А.Ю. Матросова, В.В. Андреева, С.А. Останин, Ю.В. Седов. Автоматизированный синтез самопроверяемых синхронных последовательностных схем // Сб. докладов международной конференции идентификации систем и задачи управления (SICPRO’03), Москва, стр.1756-1757.
  54. A. Matrosova, V. Andreeva, Goloubeva, K. Nikitin, S. Ostanin, Yu. Sedov. Self-checking and fail–safe synchronous sequential circuit design // Радиоэлектроника и иформатика, 2003, №3, стр.107-112.
  55. А.Ю. Матросова, В.В. Андреева. Об одной проблеме синтеза самопроверяемых последовательностных устройств // Сб. научных трудов по материалам 7-й международной конференции теория и техника передачи, приема и обработки информации, Харьков, 2001, стр.24-29.
  56. А.Ю. Матросова, В.В. Андреева. Минимизация систем булевых функций, представляющих задание на синтез самопроверяемых дискретных автоматов // Автометрия, 2008, Т. 44, № 5, стр.100-111.
  57. A. Matrosova, V. Andreeva, Yu. Sedov. Survivable discrete circuit design // Pros of the 8-th IEEE International on-line testing workshop, France, 2002, pp.44-48.
  58. A. Matrosova, V. Andreeva. Survivable synchronous sequential circuit design // The 8-th Biennial Baltic Electronic Conference, Tallinn, 2002, pp.133-136.
  59. А.Ю. Матросова, В.В. Андреева. Минимизация не полностью определенных булевых функций, допускающих монотонную или частично монотонную реализацию // Вестник ТГУ. Приложение. 2003, № 6. стр. 9-12.
  60. A. Matrrosova, V. Andreeva, S. Ostanin. Easy testable combinational circuit design // Proc. of the 6-th International workshop on Boolean problems. Germany, Freiberg, 2004, pp. 237-244.
  61. В.В. Андреева, А.Ю. Матросова. Построение минимизированного проверяющего теста, обнаруживающего неисправности безызбыточной ДНФ // Вестник ТГУ, Приложение. 2006, № 18, стр.34-39.
  62. А.Ю. Матросова, В.В. Андреева, Е.А. Николаева. Синтез синхронных последовательностных устройств, устойчивых к кратковременным и перемежающимся неисправностям // Вестник ТГУ, 2008, №3 (4), стр.99-109.
  63. A. Matrosova, V. Andreeva, A. Melnikov, E. Nikolaeva. Multiple stuck-at fault and path delay fault testable circuits // Proc. of IEEE EW&DT Symposium, Lviv, 2008, pp.360-364.
  64. Yu.B. Burkatovskaya, N.B Butorina, A.Yu. Matrosova. Self-testing checker design for arbitrary number of code words of (m,n) code // Proceedings of IEEE East-West Design and Test Workshop, 2000, Sochi, pp. 356-360.
  65. Матросова А.Ю., Луковникова Е.С. Построение проверяющих тестов для одиночных и кратных неисправностей на полюсах элементов схем, синтезированных на базе ПЛИС (FPGA) технологий // Вестник ТГУ. Приложение № 23. Доклады Сибирской научной школы-семинара с международным участием Компьютерная безопасность и криптография, 2007.стр.229-241.
  66. А.Ю. Матросова, Н.Б. Буторина Синтез самотестируемых детекторов неупорядоченных кодов // Вестник ТГУ. Приложение № 23. Доклады Сибирской научной школы-семинара с международным участием компьютерная безопасность и криптография, 2007.стр.221-228.
  67. Буркатовская Ю.Б., Буторина Н. Б., Матросова А.Ю. Синтез самотестируемых детекторов произвольного числа равновесных кодов // Вестник ТГУ, приложение №17, 2006, стр. 190 – 197.
  68. М.В. Астафьев, А.Ю. Матросова. Синтез самопроверяемых дискретных устройств по BDD реализациям их функционирования // Вестник ТГУ, № 271, стр. 89-91.
  69. А.Ю. Матросова, Е.А. Николаева. Cинтез проверяющих тестов для неисправностей задержек путей схем, построенных по системе ROBDD графов // Известия Томского политехнического университета. 2009. Т.315, № 5. стр.153 – 159.
  70. А.Ю. Матросова, А.В. Мельников. Тестирование неисправностей задержек путей в условиях ограничений на выбор пар тестовых наборов // Известия Томского политехнического университета. 2010, Т. 316. № 5. Управление, вычислительная техника и информатика стр. 98-104.
  71. А.Ю. Матросова, Д.В. Кудин, Е.А. Николаева. Обнаружение ложных путей в комбинационной схеме // Вестник Томского государственного университета. Управление, вычислительная техника, информатика. 2011. №2 (15).стр.99-107.
  72. A. Matrosova, A. Melnikov, E. Nikolaeva. Multiple stuck-at fault and path delay fault testable design of combinational circuits // Proceedings of IEEE EAST-WEST Design& Test Symposium, Lviv, 2008, pp.350-356.
  73. A. Matrosova, Е. Nikolaeva. Path delay fault classification based on ENF analysis // Proceedings of IEEE EAST-WEST Design& Test Symposium (TWDTS’09), Moscow, 2009. pp.526 530.
  74. A. Matrosova, S. Ostanin, A. Voronov. Designing FPGA-based self-testing checkers for arbitrary number of unordered code words // Радиоэлектроника и информатика, 3, Харьков, 2004, pp.44-48.
  75. А.Ю. Матросова, А.С. Алемасов. Построение тестовых наборов для одиночных константных неисправностей на полюсах элементов схем, синтезированных на базе ПЛИС-технологий // Вестник ТГУ №19, Томск, 2004, стр.137-144.
  76. А.Ю. Матросова, Е.В. Жидкова. Решение логических уравнений и анализ BDD – графов // 5-ая Международная конференция проектирование дискретных систем. Минск, Беларусь 2004, стр.1-5.
  77. A. Matrosova, I. Levin, S. Ostanin. Survivable self-checking sequential circuits // Proc. of IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT2001), 24-26 October 2001, San Francisco, California, USA.pp. 395-402.
  78. A.Yu Matrosova, A.V. Melnikov, R.V. Muchamedov, S.A. Ostanin, V. Singh. Selection of the flip-flops for partial enhanced scan techniques. Вестник Томского государственного университета // Управление, вычислительная техника и информатика, 2(19). - Томск: ТГУ, 2012, стр. 112—120.
  79. A.Yu. Мatrosova, E.A. Nikolaeva, S.A. Ostanin, V. Singh. Robust PDFs Testing of Combinational Circuits based on Covering BDDs // Вестник Томского государственного университета. Управление, вычислительная техника и информатика. –Томск: Издательство научно-технической литературы, 2012, №3 стр.129-138.
  80. А.Ю. Матросова, Д.В. Кудин, Е.А. Николаева, Е.В. Румянцева. Обеспечение тестируемости задержек путей при синтезе схем покрытием BDD-графов // Вестник Томского государственного университета. Управление, вычислительная техника и информатика. 2013. № 2 (23), стр. 130-139.
  81. А.Ю. Матросова, Е.В. Митрофанов. Синтез легко тестируемых последовательностных схем. Вестник Томского государственного университета // Управление, вычислительная техника и информатика. –Томск: 2013, №2, стр.140-147.
  82. Матросова А.Ю., Николаева Е.А., Румянцева Е.В. Синтез логических схем, контролепригодных относительно неисправностей задержек путей // Известия высших учебных заведений. Физика. 2012, том 55№ 11, стр.114-116.0
  83. А.Ю. Матросова, С.А. Останин, В. Сингх Обнаружение несущественных путей логических схем на основе совместного анализа И-ИЛИ деревьев и SSBDD-графов // Автоматика и телемеханика, 2013, № 7, стр.126-142.
  84. A. Matrosova, V. Lipsky, A. Melnikov, V. Singh. Path delay faults and ENF // IEEE East-West Design&Test Symposium. St. Perersburg: IEEE, 2010, pp. 164-167
  85. A. Matrosova, E. Nikolaeva. PDFs testing of combinational circuits based on covering ROBDDs // IEEE East-West Design&Test Symposium. - St. Petersburg: IEEE, 2010, pp. 160-163
  86. Vinutha K.R., Singh V., Matrosova A., Gaur M.S. Fault grading using instruction-execution graph // IEEE East-West Design&Test Symposium. St. Petersburg: IEEE, 2010.pp. 350-357.
  87. A. Matrosova, E. Nikolaeva, S. Ostanin, V. Singh. PDFs Testing of circuits based on covering BDDs // Proc. of the WiP Session of the 14th EUROMICRO Conference on Digital System Design. Oulu, Finland: Johannes Kepler University Linz, Austria, 2011. pp. 15-16.
  88. Vinutha K.R., Singh V., Gaur M.S., Matrosova A.Yu. Fault grading at higher level of abstraction // IEEE International Workshop on Processor Verification, Test and Debug (IWPVTD). - Trondheim, Norway: IEEE, 2011.pp. 1-6.
  89. Matrosova A.Yu., Melnikov .A.V., Muchamedov R.V., Ostanin S.A., Singh V. Selection of the flip-flops for partial enhanced scan techniques // IEEE Twelfth Workshop on RTL and High Level Testing. - Jaipur, India: IEEE, 2011.pp. 1-5
  90. Matrosova A.Yu., Nikolaeva E., Ostanin S.A., Singh V. Robust PDFs testing of combinational circuits based on covering BDDs // IEEE Twelfth Workshop on RTL and High Level Testing. - Jaipur, India: IEEE, 2011. pp. 6-11
  91. Matrosova A.Yu., Nikolaeva E., Kudin D., Singh V. PDF testability of the circuits derived by special covering ROBDDs with gates // IEEE East-West Design&Test Symposium. - Kharkov: IEEE, 2012.pp. 146-150.
  92. Matrosova A.Yu., Melnikov .A.V., Ostanin S.A., Singh V. Observability calculation of state variable oriented to LOC and LOS techniques // IEEE East-West Design&Test Symposium. - Kharkov: IEEE, 2012, pp..155-160.
  93. А.Ю. Матросова, Е.В. Митрофанов. Синтез легко тестируемых схем с памятью с использованием (1,n) кодирования состояний // Сборник научных трудов актуальные вопросы развития систем железнодорожной автоматики, Санкт-Петербург. ПГУПС, 2013, стр. 125-130.
  94. Матросова А.Ю., Останин С.А., Бухаров А.В., Кириенко И.Е. Поиск всех тестовых наборов для неисправности логической схемы и представление их ROBDD-графом // Вестник Томского государственного университета. Управление, вычислительная техника и информатика. 2014. № 2(27), стр.82-89.
  95. Матросова А.Ю., Останин С.А, Кириенко И.Е. Обеспечение надежности физических систем: синтез частично программируемых логических схем // Известия высших учебных заведений. Физика. 2014. т.57. №6, стр. 127-132.
  96. Matrosova A., Kudin D., Nikolaeva E. Combinational Circuits without False Paths // Proc. of the IEEE East-West Design&Test Symposium. Kiev. 2014, pp.160-163.
  97. Matrosova A., Mitrofanov E., Roymjantseva E. Combinational Part Structure Simplification // Proc. of the IEEE East-West Design&Test Symposium. Kiev. 2014. pp.168-172.
  98. Marosova A., Ostanin S., Kirienko I., Singh V. Partially programmable Circuit Design // Proc. of the IEEE East-West Design&Test Symposium. Kiev, 2014, pp.164-167.
  99. Matrosova A., Mitrofanov E. Fully Delay Testable Sequential Circuits and Problem of their Structural Simplification // Proc. of the Baltic Electronic Conference (BEC’14). 2014. Tallinn, Estonia.pp.93-96.
  100. Matrosova A., Ostanin S., Kirienko I. Generating all Test Patterns for Stuck-at Faults and their Connection with the Incompletely Specified Boolean Function // Proc. of the Baltic Electronic Conference (BEC’14). 2014. Tallinn, Estonia.pp.85-88.
  101. Matrosova A., Ostanin S., Kirienko I. All Stuck-at fault test patterns and incompletely specified boolean functions // Proc. of the 11th International Workshop on Boolean Problems. Freiberg, Germany. 2014, pp.166-170.
  102. Матросова А.Ю., Липский В.Б. Свойства пар тестовых наборов, обнаруживающих неисправности задержек путей в логических схемах VLSI высокой производительности //Автоматика и телемеханика. 2015. № 4. С. 135-148.
  103. Матросова А.Ю., Митрофанов Е.В., Ахунова Д.И. Обеспечение надежности физических систем: синтез логических схем, в которых задержка каждого пути обнаружима, с одновременным компактным представлением тестовых пар //Известия вузов. Физика. 2015. Т. 58, № 9. С. 105-114.
  104. Matrosova A. Yu., Ostanin S. A., Nikolaeva E. A., Kirienko I. E. Fully delay and multiple stuck-at fault testable sequential circuit design Вестн. Том. гос. ун-та. УВТиИ. 2015. № 4(33). С. 82-90.
  105. Matrosova A.Yu., Ostanin S.A., Kirienko I.E. Increasing Manufacturing Yield Using Partially Programmable Circuits with CLB implementation of Incompletely Specified Boolean Function of the Corresponding Subcircuit //Proceedings of 2015 IEEE 18th International Symposium on Design and Diagnostics of Electronic Circuits & Systems. Belgrade, Serbia: IEEE Computer Society, 2015. P. 267-270.
  106. Matrosova A., Mitrofanov E., Shah T. Simplification of Fully Delay Testable Combinational Circuits // Proceedings of 2015 IEEE 21th International On-line Testing Symposium. Halkidiki, Greece: IEEE Computer Society, 2015. P. 44-45.
  107. Matrosova А., Andreeva V., Тomkov V. Fully Delay and Multiple Stuck-at Faults Testable FSM Design // Proceedings of IEEE East-West Design & Test Symposium (EWDTS’2015). Batumi, Georgia: IEEE Computer Society, 2015. P. 212-215.
  108. Matrosova А., Ostanin S., Kirienko I., Nikolaeva E. Fault-tolerant High Performance Scheme Design // Proceedings of IEEE East-West Design & Test Symposium (EWDTS’2015). Batumi, Georgia: IEEE Computer Society, 2015. P. 286-289.
  109. Matrosova A., Mitrofanov E., Shah T. Multiple Stuck-at Fault Testability of a Combinational Circuit Derived by Covering ROBDD Nodes by Invert-And-Or Sub-circuits // Proceedings of IEEE East-West Design & Test Symposium (EWDTS’2015). Batumi, Georgia: IEEE Computer Society, 2015. P. 290-293.
  110. Ahlawat S., Tudu J., Matrosova A., and Singh V. A New Scan Flip-Flop Design to Eliminate Performance Penalty of Scan // Proceedings of IEEE Asian Test Symposium (ATS’2015). Mumbai, India: IEEE Computer Society, 2015. P. 1-6.
  111. Shah T., Matrosova A., and Singh V. BDD based PDF Testable Combinational Circuit Design Scan // Proceedings of IEEE Workshop on RTL and High Level Testing (WRTLT’2015). Mumbai, India: IEEE Computer Society, 2015. P. 1-6.
  112. Shah T., Matrosova A., and Singh V. PDF testability of a combinational circuit derived by covering ROBDD nodes using Invert-And-Or circuits // Proceedings of IEEE Int. Symp. On VLSI Design and Test, India, 2015. P.1-2.
  113. Matrosova A., Ostanin S., Kirienko I.,Nikolaeva E. A Fault Tolerant Sequential Circuit Design for SAFs and PDFs Soft Errors. Proceedings of IEEE International Symposium. On-Line Testing and Robust System Design.Spain, 2016,P. 5-6.
Результаты исследований докладывались на конференциях
  1. I Всесоюзное совещание по технической диагностике, 1969 г, Москва.
  2. Информационные методы в системах управления, измерений и контроля (доклады II Всесоюзного семинара), 1972г. Владивосток.
  3. Дискретные системы. Международный симпозиум. 1974 г. Рига.
  4. IEEE Baltic Electronic Conference, 1998, 2002, 2006, 2014 гг. Таллин.
  5. IEEE International on-line testing conference, 1998 г. Италия, 2002 г. Франция, 2003г. Греция, 2015г. Греция, 2016г. Испания.
  6. IEEE International conference on Defect and Fault Tolerance in VLSI Systems, 2001г. США, 2006 г. Италия.
  7. IEEE East-West Design& Test conference, 2005г. Украина, 2006г. Украина, 2008г. Украина, 2009г. Россия, 2010г.Россия,2011г. Украина, 2012г. Украина, 2013г. Россия, 2014г. Украина. 2015, Грузия.
  8. 14th EUROMICRO Conference on Digital System Design. 2011г. Финляндия.
  9. IEEE International Workshop on Processor Verification, Test and Debug. 2011 г. Норвегия.
  10. IEEE Twelfth Workshop on RTL and High Level Testing. 2011 г. , 2015 Индия.
  11. International Workshop on Boolean problems. 2004, 2014 г. ,Германия.
  12. The forth International conference on computer aided design of discrete devices. 2001 г. Белоруссия.
  13. 5-ая Международная конференция «проектирование дискретных систем» 2004г. Белоруссия.
  14. Сибирская научная школа-семинар с международным участием «компьютерная безопасность и криптография», 2007. 2009гг. Россия.
  15. Всероссийская конференция с международным участием «новые информационные технологии в исследовании сложных структур», 2002, 2004. 2006, 2008, 2010, 2012, 2014 гг. 2016, Россия.